Русский
Русский
English
Статистика
Реклама

Компания IBM изготовила полупроводники с техпроцессом 2 нм. В чем же подвох?

image

Компания IBM освоила производство полупроводников с технологическим процессом 2 нм. Если не обнаружится никаких нюансов, то в скором времени можно ожидать просто огромного роста производительности и энергоэффективности чипов.


Хронология уменьшения размера технологического процесса


Наиболее известное правило в мире высоких технологий наблюдение, или закон Мура, гласит: каждые два года количество транзисторов на чипе увеличивается вдвое. Владельцы компьютеров могут вспомнить свои первые ПК, сравнить их с существующими современными моделями. Новое устройство всегда компактнее и мощнее предыдущего: согласно закону Мура, каждые 24 месяца количество чипов на интегральной схеме также увеличивается в два раза.
Этой формуле более 50 лет, она стала основной концепцией для создания современной техники, но, согласно подсчетам, закон Мура не вечен. Человечество уже подходит к максимальным возможным значениям в производстве полупроводников.


В 2007 году Мур признал, что вскоре закон утратит свою силу так как есть предел темпа развития технологий.

3 мкм такого технологического процесса компания Zilog достигла в 1975 году, Intel в 1979-м.
1,5 мкм Intel уменьшила технологический процесс до этого уровня в 1982 году;
0,8 мкм уровень Intel в конце 1980-х.
0,60,5 мкм компании Intel и IBM находились на этом уровне в 19941995 годах;
350 нм Intel, IBM, TSMC к 1997-му;
250 нм Intel, 1998 год;
180 нм Intel и AMD, 1999 год.
130 нм этого уровня компании Intel, AMD достигли в 20012002 годах;
90 нм Intel в 20022003 годах;
65 нм Intel в 20042006 годах;
4540 нм Intel в 20062007 годах;
3228 нм Intel в 20092010 годах;
2220 нм Intel в 20092012 годах;
1416 нм Intel наладила производство таких процессоров к 2015 году;
10 нм TSMC делала такие процессоры уже в 2016-м, а Samsung в 2017 году;
7 нм TSMC, 2018 год;
6 нм TSMC только анонсировала такой технологический процесс в 2019 году;
5 нм TSMC начала тестирование такого техпроцесса в 2019 году;
3 нм Samsung обещает делать процессоры с таким технологическим процессом к 2021 году.
2нм IBM освоило производство в 2021 году.


Основная часть


image


По словам представителей компании, инженеры IBM смогли разместить 50 миллиардов транзисторов на пластине, площадь которой сопоставима с площадью ногтя. Площадь кристалла составила 150 мм квадратных, а это означает, что на квадратный миллиметр поместилось 333.3 миллиона транзисторов. Плотность воистину потрясающая: для сравнения у топовых продуктов TSMC она составляет 91.2 миллиона, а у Intel 100.8. Подобный прорыв может стать настоящей революцией в мире цифровых технологий.
Ниже приведен список популярных компаний и размер их процессора и количество транзисторов.


Manufacturer Example Process Size Peak Transistor Density (millions/sq mm)
Intel Cypress Cove (desktop) CPUs 14 nm 45
Intel Willow Cove (laptop) CPUs 10 nm 100
AMD (TSMC) Zen 3 CPUs 7 nm 91
Apple (TSMC) M1 CPUs 5 nm 171
Apple (TSMC) next-gen Apple CPUs, circa 2022 3 nm ~292 (estimated)
IBM May 6 prototype IC 2 nm 333

Переход на 2-нм техпроцесс может повысить производительность на 45%, а если в приоритете поставить энергоэффективность, то при нынешних показателях производительности она вырастет на 75%, если сравнивать с топовыми на данный момент 7-нм чипами, что в первую очередь существенно отразится на автономности мобильных устройств.


image

Действительно ли IBM сделали 2нм процесс или это только маркетинговый ход? Разберем на примере 14 и 7нм у двух ведущих компаний по производству процессоров.


Пристальное изучение полученных изображений полупроводниковой структуры показало несколько любопытных фактов. Так, различия ширины затвора транзистора у 14 и 7 нм техпроцессов оказались минимальны: 24 нм у Intel против 22 нм у AMD, высота затворов так и вовсе оказалась равна на уровне погрешности. Как видим, никакого кратного отличия, на которое намекают маркетинговые наименования техпроцессов, нет.


image

Это ещё раз подтверждает тезис о том, что числа в названии современных литографических технологических процессов уже давно не имеют ничего общего с реальностью. Так, компания Samsung созналась, что её 8 нм технология это просто 10 нм с новой библиотекой элементов и обновлённым трассировщиком.


image

Всё это наводит на некоторые мысли. Так, рост производительности процессоров AMD RYZEN вероятнее всего может быть обусловлен в первую очередь именно инженерной работой и совершенствованием архитектуры, а не успехами TSMC в переименовании своих техпроцессов. Следовательно, ощутимый прирост от поколения к поколению будет зависеть от задела к модернизации, избранной AMD технологии чиплетов. Поскольку это первый опыт применения данной компоновки кристаллов, делать какие-то долгосрочные прогнозы сложно, но очевидно, что однажды возможности дальнейшего совершенствования будут исчерпаны, и AMD придётся у перейти к схеме +5% каждый год, либо менять парадигму и искать новые пути развития.


В то же время переход процессоров Intel на 10 и 7 нм может принести гораздо больший, чем можно предполагать, прирост, поскольку компания не увлекалась маркетингом нанометров, просто добавляя знаки + к своим 14 нанометрам, следовательно, новый техпроцесс может оказаться действительно значительно более продвинутым. Кроме того, Intel уже смотрит в будущее и проводит исследования в области альтернативных методов пространственной компоновки транзисторов и структур кристалла процессора.


Как бы то ни было, становится очевидно, что пресловутые числа в названиях техпроцессов не отражают физической реальности и размеров полупроводниковых элементов. Грядущие 5 и 3 нм от TSMC и Samsung, вероятнее всего, так же будут представлять из себя по сути 7++ и 7+++ технологии. Размеры элементов транзистора уменьшаются незначительно, увеличение плотности размещения транзисторов на единице площади достигается в первую очередь совершенствованием библиотек элементов, развитием программ-автотрассировщиков, оптимизацией самой структуры и компоновки блоков кристалла.


Какие же недостатки будут в производстве процессоров меньше 5нм?


image

Переход на новые уровень становится все сложнее. Используемые 5 7 нм обеспечивают должную производительность и компактность практически для всех существующих задач. Помимо этого проблема роста производительности успешно решается путем наращивания количества ядер. Причем этот показатель растет впечатляющими темпами.


Стоимость только создания производственной линии нового поколения исчисляется в сотнях миллиардов долларов. О том, во сколько обойдется создание завода для более мелких техпроцессов, остается только догадываться.


Повышение плотности расположения транзисторов имеет ряд существенных проблем. Первая тепловыделение. Самые горячие процессоры от Intel имеют TPD (уровень теплоотдачи) больше 250 Вт. Становится уже недостаточно даже воздушного охлаждения. Дальнейшее повышение плотности приведет к тому, что схемы будут просто выгорать.


Другая более существенная проблема квантовые процессы. При переходе на единицы нанометров существенно возрастает ток утечки, и эта проблема распространяется на другие транзисторы. В итоге, критически страдает энергопотребление. Не стоит забывать и про эффект туннелирования, который делает невозможным проектирование стабильно работающей архитектуры.


Каковы перспективы будущего? Пока есть запас в виде технологий 5, 3 и даже 2 нанометра. Не стоит забывать и про квантовые компьютеры. Пока они служат только для узкоспециализированных задач, но это временно. А значит, опасаться, что уже в текущем десятилетии мы упрёмся в физические ограничения создания транзистора на атомном уровне, не стоит. Тормозом станет, скорее, непомерная стоимость разработки и изготовления более совершенных степперов и проблема с созданием новых сверхмощных источников УФ-излучения. Впрочем, решение, возможно, уже не за горами и кроется в применении новых материалов, в частности соединений германия, гафния, либо графена. Но это уже совсем другая история.

Источник: habr.com
К списку статей
Опубликовано: 10.05.2021 20:18:52
0

Сейчас читают

Комментариев (0)
Имя
Электронная почта

Исследования и прогнозы в it

Читальный зал

Компьютерное железо

Процессоры

Нанотехнологии

Будущее

Вычисления

Полупроводники

Производство электроники

Ibm

Amd

Закон мура

Intel

Samsung

Категории

Последние комментарии

  • Имя: Макс
    24.08.2022 | 11:28
    Я разраб в IT компании, работаю на арбитражную команду. Мы работаем с приламы и сайтами, при работе замечаются постоянные баны и лаги. Пацаны посоветовали сервис по анализу исходного кода,https://app Подробнее..
  • Имя: 9055410337
    20.08.2022 | 17:41
    поможем пишите в телеграм Подробнее..
  • Имя: sabbat
    17.08.2022 | 20:42
    Охренеть.. это просто шикарная статья, феноменально круто. Большое спасибо за разбор! Надеюсь как-нибудь с тобой связаться для обсуждений чего-либо) Подробнее..
  • Имя: Мария
    09.08.2022 | 14:44
    Добрый день. Если обладаете такой информацией, то подскажите, пожалуйста, где можно найти много-много материала по Yggdrasil и его уязвимостях для написания диплома? Благодарю. Подробнее..
© 2006-2024, personeltest.ru