Русский
Русский
English
Статистика
Реклама

Техпроцесс

7 нм техпроцесс в чипах Померяемся нанометрами? РАЗБОР

13.07.2020 22:18:54 | Автор: admin
Snapdragon 865, Apple A13 bionic, новый Ryzen от AMD...Отовсюду нам кричат про 7-нанометровый техпроцесс в смартфонах и ПК! Чем это отличается от знакомых 10 и 14 нанометров? Как влияет на батарейку, производительность, нагрев?А тут еще и Samsung с Google анонсируют процессоры на 5 нм, кто-то уже вообще говорит о 3 нм.



А где вообще Intel? Только что еле-еле переползли на 10 нм?

Мы решили узнать, что измеряют эти нанометры? И так ли важно ими мериться или это просто маркетинг? И реально ли Intel так безбожно устарел?



Прежде чем перейти к процессорам в наших смартфонах и компьютерах, немного основ как устроен процессор?



Знакомьтесь это транзистор! Ключевой элемент всех процессоров. Фактически транзистор это переключатель. Ток течет через него это 1, ток не течет это 0. Это и позволяет считать в двоичной системе основа всех процессоров!



Раньше транзисторами были вакуумные лампочки. Условно горит или не горит: единица или ноль.

Таких лампочек нужно было очень много, чтобы всё как-то работало. Например, компьютер ENIAC 1946 года, который участвовал в создании водородной бомбы насчитывал 17,5 тысяч вакуумных ламп и весил 27 Тонн, занимая 167 квадратных метров. При этом он жрал 150кВт электричества.



И тут один из ключевых моментов, на который стоит обратить внимание. Еще раз повторю энергопотребление у этих 17,5 тысяч лампочек составляло 150 кВт.





Но в начале 1960-х случилась революция изобретение и начало производства полевых транзисторов.Как раз у них исходным полупроводником является кремний отсюда и всем известная силиконовая, кхм, тоесть Кремниевая долина!

И тут понеслось! Размеры транзисторов уменьшились настолько, что они стали потреблять существенно меньше электричества и занимать меньше места. И количество транзисторов в вычислительной технике начало увеличиваться с огромной скоростью! А вместе с ним и мощность вычислительных систем!



В первом промышленном процессоре Intel 4004, который был выпущен в 1971 году было 2250 транзисторов.

А сейчас например в A13 Bionic этих транзисторов 8.5 миллиардов это больше чем людей на планете! Ну пока



Но на сколько вообще уменьшились современные транзисторы, насколько они маленькие? Простое сравнение легкое для понимания например, с человеческим волосом!

На его срезе можно разместить почти 1.5 миллиона современных транзисторов сделанных по 7-нанометровому техпроцессу!

То есть у вас на толщине человеческого волосе можно разместить в 4 раза больше транзисторов, чем было в процессоре Intel 4004!

Почему же надо уменьшать? Тут все более-менее очевидно!

Во-первых, чем меньше транзистор тем меньше он потребляет энергии. Вы уже это поняли на примере ламповых.
А во-вторых их больше помещается на кристалле, а значит растёт производительность. Двойная выгода!



И тут мы переходим к понятию техпроцесса или Technology Node что же это такое?

Если максимально упростить, то значением техпроцесса исторически являлась минимальная длина канала транзистора как видно на картинке не стоит его путать с размерами транзистора целиком.



То есть, чем меньше размер техпроцесса тем лучше это нам и пытаются донести компании, но так ли всё просто?

И тут важно другое: транзисторы бывают разные и они отличаются не только по размеру, но и по своей структуре.

Классические, планарные или плоские, транзисторы перестали использоваться относительно недавно в 2012 году. Они уступили место трёхмерным транзисторам, где вытянули канал в третье измерение, уменьшив его толщину и тем самым уменьшив сам транзистор.Такаяструктура называется FinFET они и используются сейчас.



Данная технология очень помогла уменьшить размер транзисторов и главное сильно повысила количество транзисторов на единицу площади, что и является одним из ключевых показателей для производительности!

Но означает ли сегодня понятие техпроцесс тоже самое, что и несколько лет назад?

Во всей индустрии прослеживалась очень важная тенденция каждый следующий техпроцесс был меньше предыдущего на 30%, что помогало удвоить количество транзисторов при сохранение того же энергопотребления например 130*0.7=90 нм, 90*0.7=65 нм, далее до 45 нм, 32 нм, и так далее.

И это пока соответствует Закону Мура:

Количество транзисторов, размещаемых на кристалле интегральной схемы, удваивается каждые 24 месяца.


Что же стоит за этой игрой чисел?

Мы уже выяснили, что техпроцесс это размер затвора транзистора, то есть длина канала, который пропускает или не пропускает через себя ток и этот размер ключевой!



Но оказывается это истинно, только если мы говорим о старых 32 нм там все точно, хоть линейкой измеряй! И этот параметр был закреплен документально!

Но так было до 2009 года, когда из так называемого Международного плана по развитию полупроводниковой технологии было исключено понятие техпроцесса и его обозначения!

Простым языком цифры указанные в тех процессе сегодня это просто маркетинговый лейбл!

Производители пошли вразнос и начали называть всё подряд 10, 7 и вообще 5 нанометрами, а кто-то уже говорит и о 3 нанометрах! Можно всё это ставить в кавычки, как простое обозначение поколения процессоров!



Вот вам например структура процессора Apple A12, произведенного на заводе TSMC по 7- нанометровому техпроцессу. Обратите внимание на шкалу масштаба в левом нижнем углу.



Если сравнить масштаб и посчитать, то получается, что ширина канала 8 нанометров, при том, что официально процесс называется 7-нанометровым.

Теперь давайте сравним 10-нанометровый процесс у Intel и 7-нанометровый у TSMC.

Кстати, знайте, что сегодня TSMC это компания, которая производит процессоры для AMD, а также делает Apple A13 и Snapdragon 865 поэтому считайте, что мы сравниваем сразу все их чипы.



Обратите внимание на размерность. Сразу видно, что те же 10нм у Intel почти такие же как 7 нанометров у TSMC! Так что выходит Intel не так уж отстали от AMD и других производителей они просто проиграли маркетинговую битву? Тут тоже все не так однозначно!



Внезапно по некоторым параметрам Intel даже выигрывают у TSMC.
Смотрите на 1 квадратный миллиметр 10нм кристалла Intel помещается примерно на 5 процентов больше транзисторов, чем на 7нм у того же Apple, Qualcomm или AMD.

Но при этом у повышенной плотности есть и минусы увеличенный нагрев!

Значит получается что кристаллы Intel мощнее, но за счет плотности они больше греются. Таким образом, мы получаем тот самый пресловутый троттлинг.

А процессоры производства TSMC Apple Qualcomm и AMD выигрывают именно за счет более просторного расположения транзисторов примерно тех же размеров.

Как они это делают это скорее вопрос внутренней архитектуры, а не цифека, которая стоит в названии тех процесса.

Не думайте, что я забыл про архитектуру N7FF+ да она еще плотнее чем у Intel, но если говорить о чипах серия AMD Zen 2, Applу A13, Snapdragon 865 все сделаны на основе TSMC 7FF и она проигрывает в плотностиIntel.

Единственный процессор, который уже производится по новой технологии N7FF+ с использованием экстремальной УФ-литографии это Kirin 990 5G. Тут конечно плотность транзисторов сильно возрастает аж на 15 процентов!





По идее производители просто идут по немного разному пути и если заглянуть в будущее, то становится понятно по какому: вот вам табличка того как все будет чипы следующего поколения.

Нас интересует строчка про плотность транзисторов на 1 квадратный миллиметр!



По этим данным Intel более чем на 30 процентов обходит и Samsung, и TSMC в плотности транзисторов и это при том, что тут мы сравниваем уже 7 нм у одного производителя и 5 у другого.

Откуда такой прирост? Как возможно такое повышение плотности процы просто будут взрываться или работать только с навороченными система охлаждения?

Не совсем так. Все дело в том, что Intel планирует перейти на транзисторы совершенно другой структуры под названием HNS Horizontal Nano Sheets это и позволит сделать скачок!



Но похожие планы есть и у Samsung они идут немного в другую сторону к структуре Gate-All-Around FET.



Вот как это выглядит в реальности не так симпатично, но вы только подумайте о том, какие они маленькие!

В итоге мы поняли, что за маркетинговыми названиями 7 нм и 5 нм скрывается битва архитектур, а в будущем мы сможем выяснить чей же путь был верным.

Что можно сказать абсолютно точно нас ждёт огромный скачок среди всех чипов как мобильных так и десктопных уже в течение ближайших нескольких лет.



На этой ноте не хочется заканчивать тему процессоров, ведь мы изучили немало информации и документов, в том числе разобрались в процессе производства. Например, вы слышали о таком процессе Экстремальная Ультрафиолетовая Литография?Если на пальцах, это какая-то фантастика капля олова превращается в плазму после попадания лазера: именно так создаются современные процессоры. Но сами установки может создавать только одна компания в мире и все гиганты от нее зависят.
Подробнее..

Перевод Правду ли сообщила IBM в анонсе техпроцесса на 2 нм?

13.05.2021 00:05:04 | Автор: admin


IBM объявила о разработке техпроцесса 2 нм.

О чём было объявлено:
  • 2 нм.
  • 50 млрд транзисторов на площадке размером с ноготь. Позднее уточнили на площади в 150 кв.мм то есть, 333 млн транзисторов на миллиметр квадратный (
  • MTx/мм2).
  • Шаг затвора транзистора (Contacted Poly Pitch, CPP) в 44 нм, длина затвора 12 нм.
  • Транзистор с кольцевым затвором (Gate All Around, GAA). Такие затворы можно сделать несколькими способами судя по разрезам, IBM использует горизонтальные нанопластины (horizontal nanosheets, HNS).
  • Стек HNS находится на оксидном слое.
  • На 45% быстрее или на 75% экономичнее по сравнению с передовыми чипами на 7 нм.
  • Фотолитография в глубоком ультрафиолете (EUV) используется на лицевой стороне и позволяет варьировать ширину HNS от 15 до 70 нм. Очень полезно для подстройки различных областей схемы под низкое энергопотребление или высокую производительность, а также для ячеек SRAM.
  • Пластины имеют толщину 5 нм и располагаются друг на друге по три штуки.


Действительно ли это 2 нм техпроцесс? Сегодня в производстве чипов лидирует TSMC. Мы построили график связи между названиями техпроцессов TSMC и плотностью транзисторов, аппроксимировав кривую с коэффициентом детерминации 0,99.


От 28 нм до 5 нм используются реальные цифры по данным IC Knowledge и TechInsights. Цифры по 3 нм основаны на пресс-релизах TSMC. 2 нм и 1,5 нм наша экстраполяция.

Используя график, можно преобразовать плотность транзисторов в эквивалентный TSMC техпроцесс (TSMC Equivalent Node, или TEN). Получаем 2,9 нм для 333 MTx/мм2. С нашей точки зрения, получается, что это техпроцесс на 3 нм, а не на 2 нм.

Чтобы детальнее сравнить анонс от IBM с предыдущими анонсами техпроцессов на 3 нм и предполагаемыми процессами на 2 нм, нужно сделать несколько предположений.

  • Из анонса известно, что CPP 44 нм.
  • Предположим, что для производства используется самая плотная технология размещения транзисторов, Single Diffusion Break (SDB).
  • Судя по фото в разрезе из анонса, технология Buried Power Rails (BPR) не используется. BPR нужно, чтобы уменьшить размер дорожкни HNS до 5,0 поэтому предположим, что в данном процессе это значение равняется 6,0.
  • Чтобы получить плотность в 333 MTx/мм2, минимальный шаг Minimum Metal Pitch должен равняться 18 нм это весьма агрессивное значение, которое, вероятно, потребует EUV-фотолитографии с мультиматрицами.


2 нм IBM против существующих техпроцессов на 3 нм


В следующей таблице дано сравнение разработок IBM и наших прикидок для 3 нм техпроцессов от Samsung и TSMC. Мы знаем, что Samsung тоже работает с HNS, а TSMC остановилась на 3 нм FinFET. Samsung и TSMC тоже объявили об увеличении плотности размещения транзисторов для своих техпроцессов на 3 нм по сравнению с 5 нм. Поэтому плотность транзисторов всех трёх компаний нам известна, и мы можем подсчитать TEN для всех. TEN от IBM равна 2,9; видно, что у Samsung это 4,7, а у TSMC 3,0. Опять-таки получается, что 2 нм от IBM больше похож на 3 нм от TSMC, при отставании Samsung.

Красным выделены ориентировочные параметры, необходимые для достижения объявленных плотностей, в предположении, что все компании используют технологию SDB. Высота дорожек меньше всего у TSMC, поскольку без BPR у FinFET этот параметр может достигать 5,0, однако для того, чтобы такая же высота была у HNS, необходимо использовать BPR.



2 нм IBM против существующих техпроцессов на 2 нм


В следующей табличке мы оценили значения параметров для техпроцесса на 2 нм от Samsung и TSMC. В нашей экстраполяции мы предполагаем, что компании используют BPR (технология BPR ещё не отработана, но, вероятно, вступит в строй, когда они анонсируют техпроцесс на 2 нм в 2023-2024 годах). Также предположим, что компании примут на вооружение архитектуру forksheet NHS (HNS FS), чтобы добиться высоты дорожки в 4,33. Оценки CPP и MPP сделаны на основе текущих тенденций по миниатюризации технологий.



Энергопотребление и быстродействие


В этом году я уже делал предположения касательно энергопотребления и быстродействия устройств от Samsung и TSMC с использованием дополнительных данных по быстродействию от Intel. Экстраполяция основана на заверениях компаний и на сравнении реальных цифр у техпроцессов на 14 и 16 нм.

Поскольку IBM сравнила улучшения в энергопотреблении и быстродействии с передовыми техпроцессами на 7 нм, я могу разместить их данные на тех же самых графиках.



Благодаря использования HNS, у IBM наблюдается значительное уменьшение энергопотребления, что делает их 2 нм техпроцесс более эффективным, чем техпроцесс на 3 нм от Samsung и TSMC. Хотя, по нашему мнению, когда TSMC перейдёт на HNS на 2 нм, их энергопотребление не будет уступать таковому от IBM. В вопросах быстродействия мы предполагаем, что техпроцесс на 3 нм от TSMC опередит техпроцесс на 2 нм от IBM.

Конечно, всё это лишь прикидки, основанные на большом количестве предположений.

Заключение


Проанализировав анонс IBM, мы приходим к выводу, что их техпроцесс на 2 нм больше похож на техпроцесс на 3 нм от TSMC с точки зрения плотности размещения транзисторов, обладая при этом лучшими показателями энергопотребления, но уступая в быстродействии. Заявление от IBM производит впечатление однако это всего лишь лабораторный образец, явно превосходящий 3 нм от TSMC только по энергопотреблению. При этом первые рисковые партии устройств от TSMC на 3 нм появятся уже в этом году, а коммерческие в следующем.

По нашему мнению, TSMC будет удерживать преимущество по плотности, энергопотреблению и быстродействию устройств на техпроцессе в 2 нм, когда те пойдут в производство в 2023-2024 годах.
Подробнее..

Категории

Последние комментарии

  • Имя: Макс
    24.08.2022 | 11:28
    Я разраб в IT компании, работаю на арбитражную команду. Мы работаем с приламы и сайтами, при работе замечаются постоянные баны и лаги. Пацаны посоветовали сервис по анализу исходного кода,https://app Подробнее..
  • Имя: 9055410337
    20.08.2022 | 17:41
    поможем пишите в телеграм Подробнее..
  • Имя: sabbat
    17.08.2022 | 20:42
    Охренеть.. это просто шикарная статья, феноменально круто. Большое спасибо за разбор! Надеюсь как-нибудь с тобой связаться для обсуждений чего-либо) Подробнее..
  • Имя: Мария
    09.08.2022 | 14:44
    Добрый день. Если обладаете такой информацией, то подскажите, пожалуйста, где можно найти много-много материала по Yggdrasil и его уязвимостях для написания диплома? Благодарю. Подробнее..
© 2006-2024, personeltest.ru